Static IR Drop Prediction with Attention U-Net and Saliency-Based Explainability

要約

最近、ニューラル ネットワークを使用した静的 IR ドロップ解析と、画像間の変換タスクとしてのモデリングの計算量を削減するという大きな進歩が見られました。
重大な問題は、これらのネットワークをトレーニングするための実際の業界設計からの十分なデータが不足していることです。
さらに、予測された IR ドロップ画像内の高ドロップ ピクセルをその特定の根本原因で説明する方法論はありません。
この研究では、まず、高速かつ正確な画像ベースの静的 IR ドロップ予測を実現するために特別に調整されたアテンション ゲートを備えた U-Net ニューラル ネットワーク モデルを提案します。
アテンション ゲートを使用すると、IR ドロップ マップの性質がまばらであることが多いため、監視なしで入力データの関連部分を選択的に強調できます。これは望ましいことです。
私たちは、人工的に生成されたデータと実際の設計からの限られた数のポイントを組み合わせて利用する 2 段階のトレーニング プロセスを提案します。
実際の設計でテストした場合、ICCAD 2023 コンテスト (および U-Net のみ) の優勝者と比較して、平均して MAE で 18% (53%)、F1 スコアで 14% (113%) 優れた結果が得られました。
第 2 に、予測される IR 低下を、低下に最も寄与する特定の入力ピクセルの観点から説明できる顕著性マップを使用した高速な方法を提案します。
私たちの実験では、PDN の抵抗エッジのごく一部のサイズを拡大することによって、高 IR ドロップ ピクセルの数を平均して 18% 削減できることがわかりました。

要約(オリジナル)

There has been significant recent progress to reduce the computational effort of static IR drop analysis using neural networks, and modeling as an image-to-image translation task. A crucial issue is the lack of sufficient data from real industry designs to train these networks. Additionally, there is no methodology to explain a high-drop pixel in a predicted IR drop image to its specific root-causes. In this work, we first propose a U-Net neural network model with attention gates which is specifically tailored to achieve fast and accurate image-based static IR drop prediction. Attention gates allow selective emphasis on relevant parts of the input data without supervision which is desired because of the often sparse nature of the IR drop map. We propose a two-phase training process which utilizes a mix of artificially-generated data and a limited number of points from real designs. The results are, on-average, 18% (53%) better in MAE and 14% (113%) in F1 score compared to the winner of the ICCAD 2023 contest (and U-Net only) when tested on real designs. Second, we propose a fast method using saliency maps which can explain a predicted IR drop in terms of specific input pixels contributing the most to a drop. In our experiments, we show the number of high IR drop pixels can be reduced on-average by 18% by mimicking upsize of a tiny portion of PDN’s resistive edges.

arxiv情報

著者 Lizi Zhang,Azadeh Davoodi
発行日 2024-08-06 16:41:33+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AI, cs.AR パーマリンク