Natural Language to Verilog: Design of a Recurrent Spiking Neural Network using Large Language Models and ChatGPT

要約

この論文では、ハードウェア記述コードの生成を自動化するための大規模言語モデル (LLM) の使用について調査し、効率的なニューロモーフィック コンピューティング アーキテクチャの開発をサポートおよび強化する際の LLM の可能性を探ることを目的としています。
これまでの研究に基づいて、OpenAI の ChatGPT4 と自然言語プロンプトを使用して、プログラム可能なリカレント スパイキング ニューラル ネットワークの RTL Verilog モジュールを合成すると同時に、システムの正確性を評価するためのテストベンチも生成します。
結果として得られた設計は、排他的論理和、IRIS 花分類、MNIST 手書き数字分類の 3 つのケース スタディで検証され、最大 96.6% の精度を達成しました。
合成可能性と実装可能性を検証するために、フィールド プログラマブル ゲート アレイで設計のプロトタイプが作成され、オープンソースの電子設計自動化フローを使用して SkyWater 130 nm テクノロジに実装されました。
さらに、今後システムのオンチップ性能をさらに評価するために、Tiny Tapeout 6 チップ製造プログラムに提出しました。

要約(オリジナル)

This paper investigates the use of Large Language Models (LLMs) for automating the generation of hardware description code, aiming to explore their potential in supporting and enhancing the development of efficient neuromorphic computing architectures. Building on our prior work, we employ OpenAI’s ChatGPT4 and natural language prompts to synthesize a RTL Verilog module of a programmable recurrent spiking neural network, while also generating test benches to assess the system’s correctness. The resultant design was validated in three case studies, the exclusive OR,the IRIS flower classification and the MNIST hand-written digit classification, achieving accuracies of up to 96.6%. To verify its synthesizability and implementability, the design was prototyped on a field-programmable gate array and implemented on SkyWater 130 nm technology by using an open-source electronic design automation flow. Additionally, we have submitted it to Tiny Tapeout 6 chip fabrication program to further evaluate the system on-chip performance in the future.

arxiv情報

著者 Paola Vitolo,George Psaltakis,Michael Tomlinson,Gian Domenico Licciardo,Andreas G. Andreou
発行日 2024-05-02 16:08:08+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AI, cs.AR パーマリンク