AxOCS: Scaling FPGA-based Approximate Operators using Configuration Supersampling

要約

アプリケーション ドメイン全体で AI および ML ベースの処理の使用が増加しているため、特にリソースに制約のある組み込みシステムにおいて、低コストの ML 実装のニーズが高まっています。
この目的を達成するために、電力、パフォーマンス、エリア (PPA)、および動作精度 (BEHAV) のトレードオフを調査するアプローチである近似コンピューティングが、組み込み機械学習を実装するための可能なソリューションとして浮上しました。
ML では MAC 演算が優勢であるため、プラットフォーム固有の近似算術演算子の設計は、近似コンピューティングにおける主要な研究課題の 1 つを形成します。
最近、近似演算子を実装するために AI/ML ベースの設計空間探索手法の使用が増加しています。
ただし、これらのアプローチのほとんどは、関連する一連の設計決定による PPA および BEHAV の影響を予測するための ML ベースの代理関数の使用に限定されています。
このアプローチは ML メソッドの回帰機能を活用していますが、ML のより高度なアプローチは利用していません。
この目的を達成するために、ML ベースのスーパーサンプリングを通じて近似算術演算子を設計する方法論である AxOCS を提案します。
具体的には、より大きなビット幅の演算子を生成するために、さまざまなビット幅の演算子にわたる PPA と BEHAV メトリクスの相関関係を活用する方法を紹介します。
提案されたアプローチには、より小さなビット幅演算子の比較的小さな設計空間を横断し、それに関連する Design-PPA-BEHAV 関係を使用して、より大きな演算子に対するメタヒューリスティック ベースの最適化のための初期解を生成することが含まれます。
FPGA に最適化された近似演算子に対する AxOCS の実験的評価では、提案されたアプローチにより、8×8 符号付き近似乗算器の多目的最適化のハイパーボリュームの品質が大幅に向上することが示されています。

要約(オリジナル)

The rising usage of AI and ML-based processing across application domains has exacerbated the need for low-cost ML implementation, specifically for resource-constrained embedded systems. To this end, approximate computing, an approach that explores the power, performance, area (PPA), and behavioral accuracy (BEHAV) trade-offs, has emerged as a possible solution for implementing embedded machine learning. Due to the predominance of MAC operations in ML, designing platform-specific approximate arithmetic operators forms one of the major research problems in approximate computing. Recently there has been a rising usage of AI/ML-based design space exploration techniques for implementing approximate operators. However, most of these approaches are limited to using ML-based surrogate functions for predicting the PPA and BEHAV impact of a set of related design decisions. While this approach leverages the regression capabilities of ML methods, it does not exploit the more advanced approaches in ML. To this end, we propose AxOCS, a methodology for designing approximate arithmetic operators through ML-based supersampling. Specifically, we present a method to leverage the correlation of PPA and BEHAV metrics across operators of varying bit-widths for generating larger bit-width operators. The proposed approach involves traversing the relatively smaller design space of smaller bit-width operators and employing its associated Design-PPA-BEHAV relationship to generate initial solutions for metaheuristics-based optimization for larger operators. The experimental evaluation of AxOCS for FPGA-optimized approximate operators shows that the proposed approach significantly improves the quality-resulting hypervolume for multi-objective optimization-of 8×8 signed approximate multipliers.

arxiv情報

著者 Siva Satyendra Sahoo,Salim Ullah,Soumyo Bhattacharjee,Akash Kumar
発行日 2023-09-22 12:36:40+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AI, cs.AR, cs.LG, eess.SP, I.2.1 パーマリンク