HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond

要約

機械学習 (ML) 技術は、結果の品質 (QoR) 予測と設計空間探索 (DSE) のために高位合成 (HLS) フローに適用されています。
それにもかかわらず、アクセス可能な高品質の HLS データセットの不足と、そのようなデータセットの構築の複雑さが課​​題となっています。
既存のデータセットには、ベンチマーク カバレッジ、設計空間の列挙、ベンダーの拡張性、またはデータセット構築のための再現可能で拡張可能なソフトウェアの欠如という点で制限があります。
また、多くの作品にはデザインを追加するための使いやすい方法が欠けており、そのようなデータセットの幅広い採用が制限されています。
これらの課題に対応して、高品質の HLS 設計データセットのキュレーションと生成を容易にするように設計された包括的なフレームワークである HLSFactory を導入します。
HLSFactory には 3 つの主要なステージがあります。1) 複数のベンダー ツールにわたるさまざまな最適化ディレクティブを使用して、単一の HLS デザインを大規模なデザイン スペースに精緻化するデザイン スペース拡張ステージ、2) デザイン間で HLS および FPGA ツール フローを同時に実行するデザイン合成ステージ、および 3
) ML で使用するために、標準化されたデータをパッケージ化されたデータセットに抽出するためのデータ集約ステージ。
この 3 者構成のアーキテクチャは、設計領域の拡張により広範な設計領域をカバーし、複数のベンダー ツールをサポートします。
ユーザーは独自の HLS 設計と合成結果を使用して各段階に貢献し、カスタム フロントエンドとツール フローを使用してフレームワーク自体を拡張できます。
また、一般的な HLS ベンチマークから厳選されたオープンソース HLS 設計からの組み込み設計の初期セットも含まれています。
6 つのケーススタディを通じて、フレームワークの多用途性と多機能性を紹介します。 I) デザイン空間のサンプリング。
II) きめ細かい並列処理によるバックエンドの高速化。
III) Intel の HLS フローをターゲットにする。
IV) 新しい補助デザインを追加する。
V) 公開された HLS データの統合。
VI) HLS ツールのバージョン回帰ベンチマーク。
コードは https://github.com/sharc-lab/HLSFactory にあります。

要約(オリジナル)

Machine learning (ML) techniques have been applied to high-level synthesis (HLS) flows for quality-of-result (QoR) prediction and design space exploration (DSE). Nevertheless, the scarcity of accessible high-quality HLS datasets and the complexity of building such datasets present challenges. Existing datasets have limitations in terms of benchmark coverage, design space enumeration, vendor extensibility, or lack of reproducible and extensible software for dataset construction. Many works also lack user-friendly ways to add more designs, limiting wider adoption of such datasets. In response to these challenges, we introduce HLSFactory, a comprehensive framework designed to facilitate the curation and generation of high-quality HLS design datasets. HLSFactory has three main stages: 1) a design space expansion stage to elaborate single HLS designs into large design spaces using various optimization directives across multiple vendor tools, 2) a design synthesis stage to execute HLS and FPGA tool flows concurrently across designs, and 3) a data aggregation stage for extracting standardized data into packaged datasets for ML usage. This tripartite architecture ensures broad design space coverage via design space expansion and supports multiple vendor tools. Users can contribute to each stage with their own HLS designs and synthesis results and extend the framework itself with custom frontends and tool flows. We also include an initial set of built-in designs from common HLS benchmarks curated open-source HLS designs. We showcase the versatility and multi-functionality of our framework through six case studies: I) Design space sampling; II) Fine-grained parallelism backend speedup; III) Targeting Intel’s HLS flow; IV) Adding new auxiliary designs; V) Integrating published HLS data; VI) HLS tool version regression benchmarking. Code at https://github.com/sharc-lab/HLSFactory.

arxiv情報

著者 Stefan Abi-Karam,Rishov Sarkar,Allison Seigler,Sean Lowe,Zhigang Wei,Hanqiu Chen,Nanditha Rao,Lizy John,Aman Arora,Cong Hao
発行日 2024-05-17 17:57:33+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.LG パーマリンク