NeuraChip: Accelerating GNN Computations with a Hash-based Decoupled Spatial Accelerator

要約

グラフ ニューラル ネットワーク (GNN) は、ソーシャル ネットワーク分析からバイオインフォマティクスに至るまで、さまざまなドメインにわたる非ユークリッド データを処理するための強力なツールとして台頭しています。
その有効性にもかかわらず、特にメッセージ パッシングを利用する場合、大規模なグラフ データセットに関連するスケーラビリティの課題のため、その導入は普及していません。
これらの課題に取り組むために、Gustavson のアルゴリズムに基づいた新しい GNN 空間アクセラレータである NeuraChip を紹介します。
NeuraChip は、疎行列乗算における乗算と加算の計算を分離します。
この分離により、固有のデータ依存関係を独立して利用できるようになり、効率的なリソース割り当てが容易になります。
オンチップ メモリ内のデータ アイドリングを軽減し、スパース グラフ計算におけるメモリ肥大化という一般的な問題に対処するために、ローリングエビクション戦略を導入します。
さらに、コンピューティング リソースの負荷分散は、動的な再シード ハッシュ ベースのマッピングを通じて実現され、スパース パターンに依存しないコンピューティング リソースの均一な利用を保証します。
最後に、包括的なパフォーマンス分析のための、オープンソースでサイクル精度が高く、マルチスレッドのモジュラー シミュレータである NeuraSim を紹介します。
全体として、NeuraChip は大幅な改善を示し、Intel の MKL と比較して 22.1 倍、NVIDIA の cuSPARSE と比較して 17.1 倍、AMD の hipSPARSE と比較して 16.7 倍、従来の最先端の SpGEMM アクセラレータと比較して 1.5 倍、GNN と比較して 1.3 倍の平均速度向上を実現しました。
アクセル。
オープンソースのシミュレーターとパフォーマンス ビジュアライザーのソース コードは、GitHub https://neurachip.us で公開されています。

要約(オリジナル)

Graph Neural Networks (GNNs) are emerging as a formidable tool for processing non-euclidean data across various domains, ranging from social network analysis to bioinformatics. Despite their effectiveness, their adoption has not been pervasive because of scalability challenges associated with large-scale graph datasets, particularly when leveraging message passing. To tackle these challenges, we introduce NeuraChip, a novel GNN spatial accelerator based on Gustavson’s algorithm. NeuraChip decouples the multiplication and addition computations in sparse matrix multiplication. This separation allows for independent exploitation of their unique data dependencies, facilitating efficient resource allocation. We introduce a rolling eviction strategy to mitigate data idling in on-chip memory as well as address the prevalent issue of memory bloat in sparse graph computations. Furthermore, the compute resource load balancing is achieved through a dynamic reseeding hash-based mapping, ensuring uniform utilization of computing resources agnostic of sparsity patterns. Finally, we present NeuraSim, an open-source, cycle-accurate, multi-threaded, modular simulator for comprehensive performance analysis. Overall, NeuraChip presents a significant improvement, yielding an average speedup of 22.1x over Intel’s MKL, 17.1x over NVIDIA’s cuSPARSE, 16.7x over AMD’s hipSPARSE, and 1.5x over prior state-of-the-art SpGEMM accelerator and 1.3x over GNN accelerator. The source code for our open-sourced simulator and performance visualizer is publicly accessible on GitHub https://neurachip.us

arxiv情報

著者 Kaustubh Shivdikar,Nicolas Bohm Agostini,Malith Jayaweera,Gilbert Jonatan,Jose L. Abellan,Ajay Joshi,John Kim,David Kaeli
発行日 2024-04-23 20:51:09+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.DC, cs.LG, cs.NE パーマリンク