Learning Independent Program and Architecture Representations for Generalizable Performance Modeling

要約

この論文では、高次元の独立/直交プログラムとマイクロアーキテクチャ表現を学習する新しい深層学習ベースのパフォーマンス モデリング フレームワークである PerfVec を提案します。
学習したプログラム表現は、任意のマイクロアーキテクチャ上でのパフォーマンスを予測するために使用でき、同様に、マイクロアーキテクチャ表現は任意のプログラムのパフォーマンス予測に適用できます。
さらに、PerfVec は、命令のパフォーマンスの本質を捉える基礎モデルを生成します。これは、開発者がトレーニング コストをかけずに、パフォーマンス モデリングに関連する多数のタスクで直接使用できます。
この評価は、PerfVec が以前のアプローチよりも汎用的、効率的、正確であることを示しています。

要約(オリジナル)

This paper proposes PerfVec, a novel deep learning-based performance modeling framework that learns high-dimensional, independent/orthogonal program and microarchitecture representations. Once learned, a program representation can be used to predict its performance on any microarchitecture, and likewise, a microarchitecture representation can be applied in the performance prediction of any program. Additionally, PerfVec yields a foundation model that captures the performance essence of instructions, which can be directly used by developers in numerous performance modeling related tasks without incurring its training cost. The evaluation demonstrates that PerfVec is more general, efficient, and accurate than previous approaches.

arxiv情報

著者 Lingda Li,Thomas Flynn,Adolfy Hoisie
発行日 2023-10-25 17:24:01+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.LG パーマリンク