GraPhSyM: Graph Physical Synthesis Model

要約

この研究では、物理合成前の回路ネットリストから物理合成後の回路遅延と面積メトリクスを高速かつ正確に推定するためのグラフ アテンション ネットワーク (GATv2) モデルである GraPhSyM を紹介します。
GraPhSyM は、トレーニングが完了すると、遅い物理合成フローを実行することなく、論理合成などの EDA の初期段階に最終設計メトリクスを正確に可視化し、複数の段階にわたるグローバルな協調最適化を可能にします。
さらに、GraPhSyM によって提供される迅速かつ正確なフィードバックは、機械学習ベースの EDA 最適化フレームワークに役立ちます。
グラフとして表現された回路のゲート レベルのネットリストが与えられると、GraPhSyM はグラフの構造、接続性、および電気的特性の特徴を利用して、バッファー挿入やゲート サイズ変更などの物理合成変換の影響を予測します。
積極的な遅延ターゲットで合成された 6000 のプレフィックス加算器設計のデータセットでトレーニングされた場合、GraPhSyM は、0.22 秒という高速推論時間で、目に見えない加算器の合成後の遅延 (98.3%) と面積 (96.1%) メトリクスを正確に予測できます。
さらに、固定遅延ターゲットでトレーニングされたモデルを使用して、さまざまな目に見えない遅延ターゲットでの合成後のメトリクスを正確に予測することにより、GraPhSyM の構成性を示します。
最後に、排他的にトレーニングされた加算器とは異なる回路で評価された場合の GraPhSyM モデルの有望な一般化機能を報告します。
この結果は、GraPhSyM が高度な最適化技術の強力なツールとして、また EDA 機械学習フレームワークの神託として機能する可能性を示しています。

要約(オリジナル)

In this work, we introduce GraPhSyM, a Graph Attention Network (GATv2) model for fast and accurate estimation of post-physical synthesis circuit delay and area metrics from pre-physical synthesis circuit netlists. Once trained, GraPhSyM provides accurate visibility of final design metrics to early EDA stages, such as logic synthesis, without running the slow physical synthesis flow, enabling global co-optimization across stages. Additionally, the swift and precise feedback provided by GraPhSyM is instrumental for machine-learning-based EDA optimization frameworks. Given a gate-level netlist of a circuit represented as a graph, GraPhSyM utilizes graph structure, connectivity, and electrical property features to predict the impact of physical synthesis transformations such as buffer insertion and gate sizing. When trained on a dataset of 6000 prefix adder designs synthesized at an aggressive delay target, GraPhSyM can accurately predict the post-synthesis delay (98.3%) and area (96.1%) metrics of unseen adders with a fast 0.22s inference time. Furthermore, we illustrate the compositionality of GraPhSyM by employing the model trained on a fixed delay target to accurately anticipate post-synthesis metrics at a variety of unseen delay targets. Lastly, we report promising generalization capabilities of the GraPhSyM model when it is evaluated on circuits different from the adders it was exclusively trained on. The results show the potential for GraPhSyM to serve as a powerful tool for advanced optimization techniques and as an oracle for EDA machine learning frameworks.

arxiv情報

著者 Ahmed Agiza,Rajarshi Roy,Teodor Dumitru Ene,Saad Godil,Sherief Reda,Bryan Catanzaro
発行日 2023-09-07 15:59:20+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.LG パーマリンク