An Integrated FPGA Accelerator for Deep Learning-based 2D/3D Path Planning

要約

経路計画は、移動ロボットの自律性を実現するための重要な要素です。
ただし、モバイル ロボットの計算リソースは限られているため、最先端の手法を導入してリアルタイムのパフォーマンスを達成することは依然として困難です。
これに対処するために、2D/3D パス プランニングのための軽量の深層学習ベースの方法である P3Net (PointNet ベースのパス プランニング ネットワーク) を提案し、FPGA SoC (Xilinx ZCU104) をターゲットとした IP コア (P3NetCore) を設計します。
P3Net は、最近提案された MPNet のアルゴリズムとモデル アーキテクチャを改善します。
P3Net は、有望な領域から堅牢な点群特徴とサンプル パス ポイントを抽出するために、PointNet バックボーンと軽量の計画ネットワークを備えたエンコーダーを採用しています。
P3NetCore は、完全にパイプライン化された点群エンコーダー、バッチ化された双方向パス プランナー、および並列衝突チェッカーで構成され、アルゴリズムの大部分をカバーします。
2D (3D) データセットでは、IP コアを備えた P3Net は、ARM Cortex CPU および Nvidia Jetson よりも 24.54 ~ 149.57 倍および 6.19 ~ 115.25 倍 (10.03 ~ 59.47 倍および 3.38 ~ 28.76 倍) 高速に動作し、消費電力はわずか 0.255 W (0.809 W) です。
)、ワークステーションよりも最大 1049.42 倍 (133.84 倍) の電力効率があります。
P3Net は成功率を最大 28.2% 向上させ、最適に近いパスを計画するため、MPNet や最先端の​​サンプリング ベースの手法よりも計算とソリューションの品質の間のトレードオフが大幅に向上します。

要約(オリジナル)

Path planning is a crucial component for realizing the autonomy of mobile robots. However, due to limited computational resources on mobile robots, it remains challenging to deploy state-of-the-art methods and achieve real-time performance. To address this, we propose P3Net (PointNet-based Path Planning Networks), a lightweight deep-learning-based method for 2D/3D path planning, and design an IP core (P3NetCore) targeting FPGA SoCs (Xilinx ZCU104). P3Net improves the algorithm and model architecture of the recently-proposed MPNet. P3Net employs an encoder with a PointNet backbone and a lightweight planning network in order to extract robust point cloud features and sample path points from a promising region. P3NetCore is comprised of the fully-pipelined point cloud encoder, batched bidirectional path planner, and parallel collision checker, to cover most part of the algorithm. On the 2D (3D) datasets, P3Net with the IP core runs 24.54-149.57x and 6.19-115.25x (10.03-59.47x and 3.38-28.76x) faster than ARM Cortex CPU and Nvidia Jetson while only consuming 0.255W (0.809W), and is up to 1049.42x (133.84x) power-efficient than the workstation. P3Net improves the success rate by up to 28.2% and plans a near-optimal path, leading to a significantly better tradeoff between computation and solution quality than MPNet and the state-of-the-art sampling-based methods.

arxiv情報

著者 Keisuke Sugiura,Hiroki Matsutani
発行日 2023-06-30 12:56:25+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.RO パーマリンク