Statistical Hardware Design With Multi-model Active Learning

要約

現代社会に役立つ数多くの新しいアプリケーションの複雑さが増しているため、効率的なコンピューティング プラットフォームを設計する必要性が高まっています。
ただし、効率的なハードウェアの設計は、複数のパラメーターとその相互作用を扱う複雑な多目的問題です。
ハードウェア設計には多数のパラメーターと目的が含まれているため、考えられるすべての組み合わせを合成することは、最適なソリューションを見つけるための実行可能な方法ではありません。
この問題に取り組む有望なアプローチの 1 つは、望ましいハードウェア パフォーマンスの統計モデリングです。
ここでは、この問題を解決するためのモデルベースのアクティブ ラーニング アプローチを提案します。
提案された方法は、ベイジアン モデルを使用して、ハードウェア パフォーマンスのさまざまな側面を特徴付けます。
また、転移学習とガウス回帰ブートストラップ手法をアクティブ ラーニングと組み合わせて使用​​し、より正確なモデルを作成します。
私たちが提案する統計モデリング手法は、設計空間の探索と性能予測を同時に実行するのに十分な精度のハードウェア モデルを提供します。
提案された方法を使用して、FPGA ターゲットのマイクロアーキテクチャ設計や OpenCL カーネルなど、さまざまなハードウェア設定の設計空間の調査とパフォーマンス予測を実行します。
私たちの実験では、提案された統計モデルの予測力を維持しながら、パフォーマンス モデルを作成するために必要なサンプル数が大幅に削減されることが示されています。
たとえば、パフォーマンス予測の設定では、提案された方法ではモデルを作成するために 65% 少ないサンプルが必要であり、設計空間の探索設定では、提案された方法は 50 未満のサンプルを探索することで最適なパラメーター設定を見つけることができます。

要約(オリジナル)

With the rising complexity of numerous novel applications that serve our modern society comes the strong need to design efficient computing platforms. Designing efficient hardware is, however, a complex multi-objective problem that deals with multiple parameters and their interactions. Given that there are a large number of parameters and objectives involved in hardware design, synthesizing all possible combinations is not a feasible method to find the optimal solution. One promising approach to tackle this problem is statistical modeling of a desired hardware performance. Here, we propose a model-based active learning approach to solve this problem. Our proposed method uses Bayesian models to characterize various aspects of hardware performance. We also use transfer learning and Gaussian regression bootstrapping techniques in conjunction with active learning to create more accurate models. Our proposed statistical modeling method provides hardware models that are sufficiently accurate to perform design space exploration as well as performance prediction simultaneously. We use our proposed method to perform design space exploration and performance prediction for various hardware setups, such as micro-architecture design and OpenCL kernels for FPGA targets. Our experiments show that the number of samples required to create performance models significantly reduces while maintaining the predictive power of our proposed statistical models. For instance, in our performance prediction setting, the proposed method needs 65% fewer samples to create the model, and in the design space exploration setting, our proposed method can find the best parameter settings by exploring less than 50 samples.

arxiv情報

著者 Alireza Ghaffari,Masoud Asgharian,Yvon Savaria
発行日 2023-03-15 02:30:04+00:00
arxivサイト arxiv_id(pdf)

提供元, 利用サービス

arxiv.jp, Google

カテゴリー: cs.AR, cs.LG パーマリンク